全国电子设计大赛大赛又来临了 请问各位放大类题目需要准备哪些模块啊!

全国电子设计大赛大赛又来临了 请问各位放大类题目需要准备哪些模块啊!,第1张

2011年全国电子设计竞赛赛题预测(权威版)

首先, 2011年题目应该与往年差异不大。无非是仪器类、电源类、放大器类、控制类等几大块。所以现在老师用以前的训练模式给学生打基础应该没什么问题。但有一下几点要注意:

因为推荐全国都有笔试考核,笔试多数以电子基础、模电知识为主,所以2011年年全国题目应该会继续在模电题目上下功夫,而数字电路,因为现在出题难度、芯片功能等原因,可能会不再考。

频谱仪、信号发生器、相位仪等相关题目都基本出过,所以如果仪器类继续出题目的话,可能还是在原先的基础上加强功能或者增加难度,但是这类型题目出的次数都比较多,不怀疑换类型的可能。仪器方面也要根据实验常用的仪器来判断哪些仪器在往年还没有涉及,而有可能当做新的方向来考核的,比如失真度仪什么的。

电源类好似是每年必出之题,所以建议不管出不出这类题,学生在平时锻炼的时候还是锻炼下为好,做几个电源,电流的、电压的,不出专门题目,说不准在其他题目上还能有所应用。再说,你就做个DDS信号源锻炼下,也浪费不了多长时间嘛。

放大器类题目前面几届也出过,上届专科组出过,本科组没有,预计今年会继续加入放大器类的题目。

2011年全国竞赛器件将会允许嵌入式、DSP、FPGA的相关芯片使用,所以学生可以根据自己的能力选择用嵌入式还是单片机参加相关的题目。因为嵌入式难度较大,多数学校都没有很好的开展嵌入式,所以直接出嵌入式题目的可能性不大。

控制类题目,前面几届多数采用小车车体,但是随着传感器及小车功能的扩展应用,题目也出的差不多了,再出好的题目有点难度,估计可能不会在以小车为载体,但是学生在学习控制电机等方面,小车还是一个不错的锻炼平台,即使不考,但是练习还是有必要的。

语音类题目,从前几届来看,几乎每届都有设计,虽然多数是作为扩展功能实现的,但是不可否认这是一个电子竞赛不可或缺的一个方面,所以2011年有可能会扶正,专门出一语音存储、多媒体或语音处理方面的题目。

建议现阶段同学们可以练习一下RC振荡器、相位测试仪,多功能存储示波器等基础性题目,争取到时可以做到“以不变应万变”。

以上是对2011年年竞赛的简单预测,仅做参考,相应学习、练习还是根据自己学校、小组的实力、学校的安排来进行,只要知识掌握全面、动手能力强,不管遇到哪方面题目,都能顺利解决。

最后,祝大家都能在在竞赛中取得优异成绩!

//

补充意见:

1、低功耗、小信号测量与处理的题目可能也会有。

2、结合今年低能减耗的大形势,低功耗的题目确实是很可能出。

//

全国大学生电子设计竞赛辽宁赛区会议”指导思想:

这次会议上除开展一些常规内容外,还宣布了一些“小道消息”,也是会议老师最想听的内容:

1、这次不提出最小系统的概念,(如以前AD\DA都需要自己设计电路,这次没有特殊要求),嵌入式、DSP、FPGA均可引入

2、PC机、笔记本不能出现在赛场

3、基础测试计入总分(参评国家奖时,每组派一个学生参加笔试,笔试成绩计入总分)

4、这次提出性价比,性价比占5~10分

5、功耗分析,占的分数很重(老师多次强调,老师说也是决定国家一等奖作品的重点),老师建议大家在做设计时将能测功耗的点留出来,事先要有准备;

6、可以采用小系统板,电路中必须有学生自己设计的电路部分,不能使用评估板,大系统板;

7、减少设计报告的评分比重。

版主言:竞赛指导思想越来越明确了!大家加油啊!

//

由于资深竞赛专家对竞赛趋势做了预测:

1。电子竞赛题目来看,应该还是会照顾到电子相关专业,类型不会有太大变化,但随消费电子的普及,题目里或获奖作品里会有消费电子功能的身影,

2。创新是电子行业发展的动力,今年题目会更加鼓励创新,题目发挥部分自由度更大,最后获奖作品里会百花齐放,更“多媒体”

3。随着多媒体的普及,今年带有显示部分的题目,用彩屏获奖机会、比例会加大,说不定图像传感器今年会有使用

4。随着嵌入式的普及,用32位参赛的门槛的降低,今年获奖作品不乏32位系统

5。随着soc技术的发展,集成度越来越高,数字电路搭建会少,模拟的不会减少,集成度高的小系统板不会受限制,可能会得到更多应用

6。从以往目前竞赛成绩好的学校来看,基本是动手早、学校重视、老师负责,现在都在开始动作,陆续搞选拔等基础培训工作。

7。小车题目很经典,对检测控制专业是很好的题目。

专家还提出建议:

1。建议老师提早动手,选拔优秀人才,sowt分析自己的优劣势,明确今年竞赛的参赛题目方向、拿奖目标。

2。近期通过单片机板培养学生竞赛的基本功,通过兴趣产品、电子竞赛礼包,提重点在c语言编成、单片机开发调试能力。

3。中期玩转角度传感器、指南针、超声波、红外等周边产品,有能力要冲击全国冠军的队伍,学一下32位,争取在扩展题目内容里多拿分。

2011年电子竞赛突击宝典

有许多认识我的同学经常会问我一些关于怎样参加电子竞赛怎样准备,他们打算参加全国电子竞赛,但又感到很迷茫,不知道该从何做起 ,该怎么准备,今天我以个人的看法和我的经验所知,也收集补充了这些网上的建议,希望能给大家做个参考(2年一次每次都有新的变化,本文章仅限于2011年电子竞赛参考)

1、对竞赛满怀热情

很清楚,热情是保持一个人对一件事物的热忠程度,它可以引导你,为你注入强大的动力。相信很多人参加国赛纯粹是为了将来找工作时可以在自己的简历上填上这个经历,这就不是一种热情了。因为对他们来说参加竞赛才是首要的,至于能否拿奖次之,也就不注重竞赛的过程。这我不是很提倡,我提倡的是用热情参赛,但我并不提倡三分钟的热情。其次,我看到好多人都站在考研和参赛的抉择口,在此我额外补充一点。我觉得这种情况最好要不要迟疑太久,要明确自己的方向,当机立断,免得两头分心,吃力又不合算(毕竟一个人精力有限)。正所谓无,好的开始就是成功的一半。

2、关注和收集国赛资料,了解规则

如果你有意参加的话,平时就要多关注全国电子竞赛信息,收集一些往年竞赛资料,了解评分规则,这些都是很有必要的。

3、团队的选择。

我在团队这方面也没什么高见,相信大家这以后的准备过程中会组建起来自己的团队。一个能在全国大学生电子竞赛中得奖的团队必须具备这么几个特征: 绝对喜欢电子开发。在软件、硬件、论文写作中各有所长。你想想3个只会C语言的家伙合一起能干什么。这样的团队还不如大家一起看看《越狱》、《24小时》实在。选好团队后就要确定团队核心人物。大家一定都能想得到,一旦进入备战阶段,组员间的探讨是必然的,各抒己见固然好,但往往也比较容易起争执。这时如果有个核心人物,他的话在非常时期大家必须绝对服从,他说了算。

4、正确认识自己的团队优势资源,明确分工。

要想获得成功,就必须认清自己团队的优势,充分调用组员中的优势资源,比如:某个组员在编程方面有优势,那么就应该合理分配编程工作给他,这样大家分工也就相对明确了,也都能充分发挥自己的所长,将团对协作的力量发挥到最大。

5、基本技能的准备

磨刀不误砍材功,根基不牢就不要往上爬,爬得越高,摔得越重。 这个方面,你需要连接常用的电路,如高增益放大电路,跟随电路,滤波电路的设计,学习protel制图软件,会划电路原理图,会PCB制板。在准备期间一定要学会用万用板焊接电路,或者用三氯化铁腐蚀电路,这个效果非常好。在正式比赛前,一定要于团队在4天内练习做一个完整的电子系统,时间安排在8月初最好(推荐凌阳大学计划赛前热身套件),不要以为你能做好各个模块,但是联机调试你就不一定成功,总是会缺点什么,事实证明,大部分的竞赛队失败就失败在最后的联机。

6、选对核心器件是关键

(单片机)选型 CPU是电子设计竞赛的核心器件。现在的微处理器很多,如 比较古老的8051单片机,AVR单片机,PIC单片机,MSP430单片机。现在也出了很多新型单片机,这类单片机含有很多的扩充资源,如大量的FLASH(这些FLASH对LCD字库很有帮助)、中速AD,D\A、多定时器、PWM,语音功能等等,这些资源会给开发带来很多的方便。从个人经验看,竞赛一定要用自己擅长的单片机,但根据题目的不同,不同的单片机实现起来难度也不一样。如果准备时间短,没有很丰富的单片机开发经验又对自己水平不是太自信的朋友,可以学习一下凌阳的61板,快速入门和丰富权威的自学资料能达到事半功倍的效果,随着时代的变化,电子产品的更新也是日新月异的,现在很多人都喜欢上了嵌入式和DSP。根据09年竞赛的精神利用嵌入式与DSP参加电子竞赛也会逐渐提到日程上来,如果做的是关于高速数据处理的的题目,比如高速数据采集,高速数据传输等等,这样这两个东西会有用武之地。

7、基本模块的准备

参加电子设计竞赛,离不开一些基本模块。像LED,LCD子电路,子程序。(这点凌阳的61板做的很好,有很多现成的标准函数可以调用)AD,DA电路,搞控制的总得选好步进电机的型号,驱动电路,驱动程序吧,那搞无线的应该准备什么呢?自己去想吧!有一个一等奖选手告诉过我,他说“在竞赛前我就知道我会得奖,因为我把该准备的东西都准备了,而且在比赛前几天都梦到了比赛题目",他说得有点夸张,但是也很可信,足以证明这个准备对整个比赛有举足轻重的作用。

8、专注自己的强项

下面对全国大学生电子设计竞赛谈几点全国大学生电子设计竞赛的试题:其实每年的竞赛试题都有很多相似的地方,控制类的如 简单的工业控制、小车;传统题目如数据调理、数据采集,无线传输系统;电源设计;简单仪器、仪表设计(每年必要一题)如2005年的简易频谱分析仪,2003年的简易逻辑分析器。建议为了夺奖的朋友专注自己的强项。

9、备战前要调理好身体

这也是很容易忽略的一点。4天3夜是个什么概念,我想大家都要清楚点,所谓身体是革命的本钱。既然下定决心参赛,就要调理好身体,以最佳的状态来迎接挑战。否则,千载难逢的机会就可能擦肩而过,留下的就将会是遗憾。

10、要有善始善终,持之以恒的决心

竞赛不仅仅是技能的竞争,更是良好心态的比拼。比赛期间,肯定都会遇到这样那样的问题,如果没有善始善终,持之以恒的决心,三天打鱼,两天晒网,是走不到最后的。要切切实实按照制定好的进程走,该做哪项任务,就要尽量克服一切困难做完,该今天做的,就不能留到明天。

具备以上条件后,你还应该做的就是自信了。

11、会做也要会表达

一个获得一等奖的团队,不用问这里面肯定有一个好的队长,一个好的指导老师,还有一个很关键的角色,文笔不错善于表达的人物。一个团队的设计理念和思想需要通过他公布于众,让评委团了解和认同你们的观点,论文的书写也是考察写作基本功的关键要素,切记现在不是酒香不怕巷子深的年代了,因为可以选择的对象太多,要学会主动出击。所以说一个好的团队是成功的一半!

下面有一些。如果你需要,可以和我的注册名QQ联系。

基于CPLD/FPGA的VGA 图像显示控制器研究

室内照明灯的分线器设计

地信学院管理信息系统 教师人事管理子系统的设计

基于CPLD/FPGA的汽车 信号灯控制器设计

有限元分析转台对天线辐射特性的影响

家庭保安系统—报警处理子系统

地震属性在滩坝砂体预测中的应用

数字电子秤设计

基于iLab的在线共享实验室架构的初步搭建

网络系统的设计与管理

地信学生信息管理系统

基于单片机的多功能数字电子钟设计

导航模拟器设计

经验模态分解在地球物理资料中的应用

数字图像的中位数滤波设计

V35光猫的设计及优化

基于单片机的电子密码锁设计

激电仪驱动程序设计与实现

地信学院管理信息系统 ——学生管理子系统的设计与实现

普通电阻率测井实验装置的设计与实现

属性参数在地震解释中的应用

基于J2EE架构的物流管理系统的设计和实现

测温报警器

实时日历时钟显示系统的设计

网络通信中电子邮件加解密的研究

基于单片机的连续放射性测量仪的设计与实现

基于MATLAB 的数字滤波器设计

寻机机器人

1基于CPLD/FPGA的智力竞赛抢答器设计和仿真

2粘度计温度控制电路的设计

3家用燃气壁挂炉室内温控器设计

4人脸图像的特征提取

GPRS无线通信终端的设计

自动温度控制的设计

六位数显电子秒表

车牌自动识别系统中的字符识别

八位密码锁的设计

家庭保安系统控制面板设计

多相流检测技术综述

网络通信教学网站的制作

家庭保安系统传感器监测子系统

无线通信系统中带通滤波器的设计

模拟通信信号调制制式的识别

用单片机实现 数字电子钟的设计

基于GPRS的数据采集网络 监控系统的研究

成像测井沉积层理的 三维可视化模拟

维特比算法在不同信道下卷积译码中的应用研究

三维地震数据处理观测系统定义的程序设计与实现

GPRS无线通信数据传输系统的设计与应用

转换波速度反演

单片机控制的连续动画LCD显示

人脸图像的特征提取与比对算法设计

下面的都是毕业论文范文,有用的话,请给我红旗

LMX2350/LMX2352芯片简介电路设计

基于LMX2306/16/26 芯片简介及应用电路设计

基于LT5500f 的18~27 GHzLNA/混频器电路设计

基于LT5517 40MHZ到90NHZ 积分解调器的设计

基于LT5527的400MHz至37GHz高信号电平下变频混频器电路设计

基于LT5572的芯片简介及应用电路设计

基于LT5516的芯片简介及应用电路设计

基于MAX2039的芯片简介及应用电路设计

基于MAX2102/MAX2105芯片简介及应用电路设计

基于MAX2106 芯片简介及应用电路设计

基于MAX2323/MAX2325 的芯片简介及应用电路设计

基于MAX2338芯片简介及应用电路设计

基于MAX2511的芯片简介及应用电路设计

基于MAX2685的芯片简介及应用电路设计

基于MAX2753的芯片简介及应用电路设计

基于MAX9981芯片简介及应用电路设计

基于MAX9994的芯片简介及应用电路设计

基于MAX9995的芯片简介及应用电路设计

基于MC12430的芯片简介及应用电路设计

基于MC88920芯片简介及应用电路设计

基于MPC97H73的简介及电路设计

基于MPC9229 芯片简介及应用电路设计

基于mpc9239芯片简介及应用电路设计

基于MPC9992 芯片简介及应用电路设计

基于mpc92433芯片的简介及应用电路设计

基于TQ5121的无线数据接收器电路设计

基于TQ5135的芯片简介及应用电路设计

基于TQ5631 3V PCS波段CDMA射频放大混频器电路设计

语音信号处理技术及应用

网络文档发放与认证管理系统

网络配置管理对象分析与应用

三维激光扫描仪中图像处理快速算法设计

基于分形的自然景物图形的生成

图像压缩编码

基于奇异值分解的数字图像水印算法研究

数字图象融合技术

汽车牌照定位与分割技术的研究

焦炉立火道温度软测量模型设计

加热炉的非线性PID控制算法研究

直接转矩控制交流调速系统的转矩数字调节器

无线会议系统的设计

温度检测控制仪器

简易远程心电监护系统

基于LabVIEW的测试结果语音表达系统

程控交换机房环境监测系统设计

单片机控制的微型频率计设计

基于DSP的短波通信系统设计(射频单元)

等精度数字频率计

不对称半桥直直变换器仿真研究

基于MATLAB的直流电动机双闭环调速系统

无线传输应变型扭矩仪

模糊控制在锅炉焊接过程中的应用

三层结构的工作流OA的应用与实现

基于ANSYS80的永磁直线电机的有限元分析及计算

音频信号的数字水印技术

33V低压CMOS零延迟1:11时钟发生器

基于ADF4116/4117/4118的芯片简介及应用电路设计

ADF4193芯片简介及应用电路设计

LMX2310U/LMX2311U/LMX2312U/LMX2313U芯片简介及应用电路设计

MAX2754芯片简介及应用电路设计

MPC92432芯片简介及应用电路设计

高增益矢量乘法器

基于400MSPS 14-Bit,18VCMOS直接数字合成器AD9951

基于900MHz低压LVPECL时钟合成器的电路设计

基于 MAX2450芯片简介及应用电路设计

基于AD831低失真有源混频器的电路设计

基于AD7008的芯片简介及应用电路设计

基于AD8341 芯片简介及应用电路设计

基于AD8348的50M-1000M正交解调器

基于AD8349的简介及应用电路设计

基于AD9511的简介及电路应用

基于AD9540的芯片简介及电路设计

基于AD9952的芯片简介和应用电路设计

基于ADF436的集成数字频率合成器与压控振荡器

基于ADF4007简介及电路设计

基于ADF4110/ADF4111/ADF4112/ADF4113上的应用电路设计

基于ADF4154的芯片简介及应用电路设计

基于ADF4360-0的芯片简介及应用电路设计

基于ADF4360-3电路芯片简介及应用电路设计

基于ADF4360-6的简介及应用电路设计

基于ADF4360-7的集成整形N合成器的压控振荡器

基于ADL5350的简介及应用电路设计

基于CMOS 200 MHZ数字正交上变频器设计

基于CMOS 的AD9831芯片数字频率合成器的电路设计

基于CX3627ERDE的芯片简介及应用电路设计

基于CXA3275Q的芯片简介及应用电路设计

基于CXA3556N的芯片简介及应用电路设计

基于IMA-93516的芯片简介及应用电路设计

***技术研究

UCOSII在FPGA上的移植

IPTV影音信号传输网络设计

GSM移动通信网络优化的研究与实现

FSK调制系统

DSP处理GPS接收数据的应用研究

Boot Loader在嵌入式系统中的应用

ADS宽带组网与测试

基于FPGA的IIR滤波器设计

MP3宽带音频解码关键技术的研究与实现

基本门电路和数值比较器的设计

编码器和译码器的设计

智力竞赛抢答器

移位寄存器的设计与实现

四选一数据选择器和基本触发器的设计

四位二进制加法器和乘法器

数字钟的设计与制作

数字秒表的设计

数控分频器及其应用

汽车尾灯控制器的设计

交通灯控制器的设计

简易电子琴的设计

简单微处理器的设计

DSP最小系统的设计与开发

基于消息队列机制(MSMQ)的网络监控系统

基于DSP的电机控制的研究

基于数学形态学的织物经纬密度的研究

纱条均匀度测试的研究

图像锐化算法的研究及其DSP实现

手写体数字识别

有限冲击响应滤波器的设计及其DSP实现

同步电机模型的MATLAB仿真

USB通信研究及其在虚拟仪器中的应用设计

WLAN的OFDM信道估计算法研究

采用S12交换机支持NGN下MEGACO呼叫流程的设计

基于语音信号预测编码的数据压缩算法的研究与实现

基于小波变换数字图像水印盲算法

基于小波变换和神经网络的短期负荷预测研究

嵌入式系统建模仿真环境PtolemyII的研究与应用

分布式计算环境的设计与实现

复合加密系统中DES算法的实现

大学自动排课算法设计与实现

基于AES的加密机制的实现

基于AES算法的HASH函数的设计与应用

基于DM642的H264视频编码器优化和实现

基于Huffman编码的数据压缩算法的研究与实现

基于internet的嵌入式远程测控终端研制

基于Matlab的FMCW(调频连续波)的中频正交处理和脉冲压缩处理 

基于MATLAB的对称振子阻抗特性和图形仿真

基于windows的串口通信软件设计

基于粗糙集和规则树的增量式知识获取算法

自适应蚁群算法在DNA序列比对中的应用

远程监护系统的数据记录与传输技术研究

基于分布式体系结构的工序调度系统的设计

基于活动图像编码的数据压缩算法的设计与实现

基于宽带声音子带编码的数据压缩算法的设计与实现

基于网络数据处理XML技术的设计

基于小波变换的数据压缩算法的研究与实现

基于小波变换的配电网单相接地故障定位研究及应用

英特网上传输文件的签名与验证程序

篇一:数电实验总结心得

数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。

通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。

在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。

在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如:

1、线路不通——运用逻辑笔去检查导线是否可用;

2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型;

3、在一些实验中会使用到示波器,这就要求我们能够正确、熟悉地使用示波器,通过学习我们学会了如何调节仪器使波形便于观察,如何在示波器上读出相关参数,如在最后的考试实验《555时基电路及其应用》中,我们能够读出多谐振荡器的Tpl、Tph和单稳态触发器的暂态时间Tw,还有有时是因为接入线的问题,此时可以通过换用原装线来解决。

同时,我们也得到了不少经验教训:

1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。

此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。

2、在实验过程中,要学会分工协作,不能一味的自己动手或是自己一点也不参与其中。

3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。

数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。通过在数字电子技术实验中的实践,我收获了许多!

篇二:数电实验课程总结报告

不知不觉,一个学期已经过去,数电实验这门课也即将结束。回顾这个学期以来在数电实验课程中的学习,我发现自己既收获了很多,也付出了很多。

数电实验是一门结合理论并有所创新的课程。实验一——数字集成电路功能与特性测试让我熟悉了几个常用芯片74LS247、74LS163与74LS00。一方面数电理论课正好进行到这部分的内容,这次实验的学习让我更好的理解理论课的知识。另一方面,在接下来的实验三中,我需要用到其中的芯片与显示电路,这为接下来的实验做好了铺垫。实验二开始我们就与FPGA接触了。作为一个电子信息工程专业的学生,今后的研究与学习肯定会需要使用到FPGA,所以实验二与实验三的实际应用意义是很大的。

经过简单的熟悉QuartusII软件后,我们开始了最为重要的实验三——多功能数字钟的设计。可以说,实验三是本课程的核心所在。实验三耗时一个多月,我们经历了一个完整的开发周期。从数字钟功能设想到方案论证,再到软件编写与硬件焊接,再到最后的整机测试。我投入了大量的时间与精力,最后做出了集闹钟、报时、校时、秒表、倒计时、日期显示、12——24小时制转换等功能的多功能数字钟。在数字钟设计的过程中,我遇到了很多的问题。一开始我是用的是纯VHDL语言编写的方案开发数字钟,可是随着功能逐渐增多,我发现语言编写并不能很容易的加减功能。而且一旦在仿真中发现问题,我很难从源文件中查找出问题所在。于是在离验收日期只有一个星期的时候,我毅然选择了推到重来,放弃已有的程序,重新使用顶层原理图加底层VHDL语言的方案开发。后来的结果证明,这种方案不仅思路清晰,易于增减功能、检查错误,也能在一定程度上节约内部资源。最后,我花了4个晚上重新编写好软件程序,花了一个晚上焊接硬件并组装调试。这次成功的经验大大提升了我的信心,也让我懂得了敢于放弃,不怕重来的道理。

总的来说,本次数电实验课程让我收获很多。我会在今后的学习中更加努力。 最后,感谢老师一个学期以来的教导,祝老师身体健康,万事如意!

一、常用模块:

1、电源(电源模块12V、9V、5V、33V较为常用,如果用电池那么还要考虑升压块,如果用一些必须负电压供电的芯片,就还要把比如LM337做进去)

2、最小系统(以备I/O口不够的特殊情况)

3、键盘

4、液晶显示

5、AD,DA

6、温度、湿度、压力、角度、声、光传感器(寻迹光耦(个人使用觉得RPR220不错),霍尔传感器(A04E)和磁钢,光电槽和码盘,避障的光电开关,趋光的光敏电阻或者光敏二极管,接近开关(探测金属),角度传感器(太贵了),超声波)

7、集成运放

8、可编程逻辑

9、电机(步进电机控制可以考虑加L297)

10、继电器

11、信号处理(比如光耦我们希望它出来的是开关量,但要不是就得加比较器,所以配合光耦,比较器模块是必备的(推荐LM339、LM393),又比如信号回来需要放大,那就需要用到运放,适当准备几块运放的芯片比如(TL082、TL084))

二、常用程序:

1、LCD显示程序、键盘输入程序;

2、AD\DA SPI传输程序;

3、AD采集后数据处理算法;

4、电机控制程序,要把减速电机做到像步进电机一样一步步走,光调速是不够的,提到调速就要说下面一点PWM了,要把步进电机通过频率和细分调速调到满意。

5、PWM通道和比特率输出;

6、延时和计时(这里的延时指用软件延时,这里的记时指用计时器);

7、秒表、测速、测距、寻迹算法、避障算法等等;

8、其他的一些不一定需要的算法:比如复线。

三 常用知识

1模电 数电知识

2熟练掌握51单片机,但最好用msp430 凌阳 c8051系列的

3有条件的话学学arm fpga

本书以掌握国内外最流行的电子设计自动化(EDA)技术为教学目标,以

培养学生的设计和应用开发能力为主线,系统地介绍EDA应用技术。

全书在取材和编排上,内容新颖、循序渐进,并注重理论联系实际。全

书共10章,主要内容包括VHDL硬件描述语言、Quartus Ⅱ等EDA工具软件、

可编程逻辑器件、实验开发系统、应用实例和综合设计实例。第4章对大量

常规的数字电路做出了VHDL描述,第7章详细阐述了9个典型数字系统的设计

方法,第9章选取了16个实验实例,第10章给出了4个代表性的全国大学生电

子设计竞赛赛题设计实例。读者完全可以通过这些实际 *** 作,很好地掌握:

EDA的开发设计方法。每章后面附有小结和习题,便于读者学习和教学使用

。为方便教师教学,本书配有电子教案。

本书可作为高职高专及本科院校电子信息、电气、通信、自动控制、自

动化和计算机类专业的EDA技术教材,也可作为上述学科或相关学科工程技

术人员的参考书。还可作为电子产品制作、科技创新实践、EDA课程设计和

毕业设计等实践活动的指导书。

本书目录

第1章 EDA技术概述

1.1 EDA技术及其发展

1.1.1 EDA技术的涵义

1.1.2 EDA技术的发展史

1.2 EDA设计流程

1.3 EDA技术的主要内容及主要的EDA厂商

1.3.1 EDA技术的主要内容

1.3.2 主要EDA厂商概述

1.4 常用的EDA工具

1.5 EDA技术的发展趋势

1.5.1 可编程器件的发展趋势

1.5.2 软件开发工具的发展趋势

1.5.3 输入方式的发展趋势

1.6 EDA技术的应用

1.6.1 EDA技术的应用形式

1.6.2 EDA技术的应用场合

本章小结

思考题和习题

第2章 VHDL硬件描述语言

2.1 VHDL概述

2.1.1 常用硬件描述语言简介

2.1.2 VHDL及其优点

2.1.3 VHDL程序设计约定

2.1.4 VHDL程序设计举例

2.2 VHDL程序基本结构

2.2.1 实体

2.2.2 结构体

2.2.3 库

2.2.4 程序包

2.2.5 配置

2.3 VHDL语言要素

2.3.1 VHDL文字规则

2.3.2 VHDL数据对象

2.3.3 VHDL数据类型

2.3.4 运算 *** 作符

2.3.5 VHDL语言结构体的描述方式

2.4 VHDL顺序语句

2.4.1 等待语句和断言语句

2.4.2 赋值语句

2.4.3 转向控制语句

2.4.4 子程序调用语句

2.4.5 返回语句

2.5 VHDL并行语句

2.5.1 进程语句

2.5.2 块语句

2.5.3 并行信号赋值语句

2.5.4 并行过程调用语句

2.5.5 元件例化语句

2.5.6 生成语句

本章小结

思考题和习题

第3章 Quartus Ⅱ软件及其应用

3.1 Quartus Ⅱ的使用及设计流程

3.1.1 Quartus Ⅱ的图形编辑输入法

3.1.2 Quartus Ⅱ的文本编辑输入法

3.2 Quartus Ⅱ设计正弦信号发生器

3.2.1 创建工程和编辑设计文件

3.2.2 编译

3.2.3 正弦信号数据ROM定制

3.2.4 仿真

3.2.5 测试

3.2.6 配置器件

3.3 MATLAB/DSP Builder设计可控正弦信号发生器

3.3.1 建立设计模型

3.3.2 Simulink模型仿真

3.3.3 SignalCompiler编译

3.3.4 使用Quartus Ⅱ实现时序仿真

3.3.5 使用Quartus Ⅱ进行硬件测试

与硬件实现

本章小结

思考题和习题

第4章VHDL应用实例

4.1 组合逻辑电路设计

4.1.1 基本门电路

4.1.2 译码器

4.1.3 编码器

4.1.4 数值比较器

4.1.5 数据选择器

4.1.6 算术运算电路

4.1.7 三态门及总线缓冲器

4.2 时序逻辑电路设计

4.2.1 时钟信号和复位信号

4.2.2 触发器

4.2.3 寄存器和移位寄存器

4.2.4 计数器

4.2.5 序列信号发生器和检测器

4.3 存储器设计

4.3.1 只读存储器ROM

4.3.2 随机存储器RAM

4.4 状态机设计

4.4.1 摩尔型状态机

4.4.2 米立型状态机

本章小结

思考题和习题

第5章 大规模可编程逻辑器件

5.1 可编程逻辑器件概述

5.2 简单可编程逻辑器件

5.3 复杂可编程逻辑器件

5.3.1 CPLD的基本结构

5.3.2 Altera公司的器件

5.4 现场可编程门阵列

5.4.1 FPGA的整体结构

5.4.2 Xilinx公司的’FPGA器件

5.4.3 FPGA的配置

5.5 在系统可编程逻辑器件

5.5.1 ispLsI/pLSI的结构

5.5.2 Lattice公司ispLSI系列器件

5.6 FPGA和CPI。D的开发应用选择

5.6.1 FPGA和CPL|D的性能比较

5.6.2 FPGA和CPLD的开发应用选择

本章小结

思考题和习题

第6章 常用印A工具软件

6.1 Altera MAX+plus Ⅱ的使用

6.1.1 MAX+plus Ⅱ功能简介

6.1.2 MAX+plus Ⅱ设计流程

6.1.3 MAX+plus Ⅱ设计举例

6.2 Xilinx Foundation的使用

6.2.1 Foundation设计流程

6.2.2.Foundation设计举例

6.3 ModelSim的使用

6.3.1 ModelSim的使用方法

6.3.2 ModelSim与MAX-+Iplus Ⅱ的接口

6.3.3 ModelSim交互命令方式仿真

6.3.4 ModelSim批处理工作方式。

本章小结

思考题和习题

第7章 EDA技术综合设计应用

7.1 数字闹钟的设计

7.1.1 系统的设计要求

7.1.2 系统的总体设计

7.1.3 闹钟控制器的设计

7.1.4 译码器的设计

7.1.5 键盘缓冲器(预置寄存器)的设计

7.1.6 闹钟寄存器的设计

7.1.7 时间计数器的设计

7.1.8 显示驱动器的设计

7.1.9 分频器的设计

7.1.10 系统的整体组装

7.1.11 系统的硬件验证

7.2 多功能信号发生器的设计

7.2.1 设计要求

7.2.2 设计实现

7.2.3 系统仿真

7.3 序列检测器的设计

7.3.1 设计思路

7.3.2 VHDL程序实现

7.3.3 硬件逻辑验证

7.4 交通灯信号控制器的设计

7.4.1 设计思路

7.4.2 VHDL程序实现

7.4.3 硬件逻辑验证

7.5 空调系统有限状态自动机的设计

7.5.1 设计思路

7.5.2 VHDL程序实现

7.6 电梯控制系统的设计

7.6.1 设计要求

7.6.2 设计实现

7.6.3 系统仿真

7.7 步进电机控制电路的设计

7.7.1 步进电机的工作原理

7.7.2 驱动电路的组成及VHDL实现

7.8 智力竞赛抢答器的设计

7.8.1 设计思路

7.8.2 VHDL程序实现

7.9 单片机与FPGA/CPLD总线接口的设计

7.9.1 设计思路

7.9.2 VHDL程序实现

本章小结

思考题和习题

第8章 EDA实验开发系统

8.1 GW48型EDA实验开发系统原理与使用

8.1.1 系统性能及使用注意事项

8.1.2 系统工作原理

8.1.3 系统主板结构与使用方法

8.2 GW48实验电路结构图

8.2.1 实验电路信号资源符号图说明

8.2.2各实验电路结构图特点与适用范围简述

8.3 GW48系统结构图信号名与芯片引脚对照表

8.4 GWDVPB电子设计竞赛应用板 使用说明

8.5 GW48型EDA实验开发系统使用实例

本章小结

思考题和习题

第9章 EDA技术实验

实验1 8位全加器的设计

实验2 组合逻辑电路的设计

实验3 触发器功能的模拟实现

实验4 计数器的设计

实验5 计数译码显示电路

实验6 数字钟综合实验

实验7 序列检测器的设计

实验8 简易彩灯控制器

实验9 正负脉宽数控调制信号发生器的设计

实验10 数字秒表的设计

实验11 交通灯信号控制器的设计

实验12 模拟信号检测

实验13 4位十进制频率计设计

实验14 VGA显示器彩条信号发生设计

实验15 A/D转换控制器的设计

实验16 音乐发生器的设计

第10章 EDA技术在全国大学生电子设计竞赛中的应用

10.1 等精度频率计设计

10.1.l 系统设计要求

10.1.2 系统组成

10.1.3 工作原理

10.1.4 FPGA开发的VHDL设计

10.1.5 系统仿真

10.1.6 系统测试与硬件验证

10.1.7 设计技巧分析及系统扩展思路

10.2 测相仪设计

10.2.1 测相仪工作原理及实现

10.2.2 系统测试

10.3 基于DDS的数字移相正弦信号发生器设计

10.3.1 系统设计要求

10.3.2 系统设计方案

10.3.3 DDS内部主要模块的VHDL程序实现

10.3.4 系统仿真与硬件验证

10.3.5 设计技巧分析与系统扩展思路

10.4 逻辑分析仪设计

10.4.1 设计任务

lO.4.2 设计基本要求

10.4.3 设计实现

你好。

1 Quartus是Altera 公司的FPGA开发软件,你用它来写硬件描述语言的程序然后生成电路模块,或者画电路原理图,完成理论上的设计。

2 在Quartus中,所有器件都是用模块表示的,小到一个非门,大到一个CPU,都是用框图加输入输出引脚描述的。其中,使用Altera技术在FPGA上生成的CPU叫做Nios,目前主流版本是Nios 2。以Nios 2为核心的设计需要用另一个软件,Nios Integrated Design Environment (IDE),与Quartus联用,在这个软件中你可以写C/C++程序在Nios 2上运行。这是Nios 2相当于一个单片机。

3 DSP Builder是Matlab中的插件,通过Simulink搭建系统框图,用该插件可直接生成在Quartus中可以引用的模块。

4 你当然可以复制个代码改改……秒表实际上用不着Nios 2就可以完成……很多地方都可以下载到……其实真正的工作量不在编写代码上,而在于对这一系列软件的学习过程上。有很多诡异的错误要调试。

以上就是关于全国电子设计大赛大赛又来临了 请问各位放大类题目需要准备哪些模块啊!全部的内容,包括:全国电子设计大赛大赛又来临了 请问各位放大类题目需要准备哪些模块啊!、推荐计算机专业毕业论文选题、寻求通信类大学生毕业论文等相关内容解答,如果想了解更多相关内容,可以关注我们,你们的支持是我们更新的动力!

欢迎分享,转载请注明来源:内存溢出

原文地址: https://www.outofmemory.cn/zz/9388678.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-04-27
下一篇 2023-04-27

发表评论

登录后才能评论

评论列表(0条)

保存