如何设置断点

如何设置断点,第1张

首先打开工程项目第一种是,把鼠标移动想要设置断点的行,在行号前面空白地方双击,就会出现断点第二种是,在菜单栏找到"Run",点击在d出的下拉框内找到“Toggle Breakpoint”,点击也可以进行断点第三种就是,把鼠标移动要设断点的地方,直接用快捷键“Ctrl+Shift+b",然后断点就出来了

1、打开Dve-C++软件,文件——新建——项目,这步必需要新建项目,如果是新建源代码的话,程序可以正常运行,但是不能使用断点功能的,所以,必需新建项目。

2、选择“Console Application"这个是最常用的,确定——保存。

3、把原有的程序删掉,写自己程序。

4、在要添加断点的行中左侧单击,就可以添加断点。

5、接着添加你要查看哪个变量的值。在最下面的选项卡中选择调试选项卡——添加查看,

6、然后输入你要查看的变量。

7、单击调试按钮,断点只在调试状态下生效。

8、然后程序会在断点处停止,下一条要执行的语句呈蓝色显示,并可以在左侧的窗口中查看变量的值。

9、单击下一步(一行一行执行)。

10、依次下一步,可以在左侧的窗口中查看变量的值。


欢迎分享,转载请注明来源:内存溢出

原文地址: http://www.outofmemory.cn/tougao/6039804.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2023-03-12
下一篇 2023-03-12

发表评论

登录后才能评论

评论列表(0条)

保存