给UltraEdit设置Verilog语法高亮

给UltraEdit设置Verilog语法高亮,第1张

概述verilog 用 ultraedit 终极大法 本帖最后由 wangkj 于 2009-7-30 16:50 编辑 UltraEdit是一款功能强大的文本编辑器,可以编辑文字、Hex、ASCII码,可以取代记事本,内建英文单字检查、C++ 及 VB指令突显,可同时编辑多个文件,而且即使开启很大的文件速度也不会慢。是一个使用广泛的编辑器,但它并不直接支持HDL。         在网上查了资料后,

verilog 用 ultraedit 终极大法
本帖最后由 wangkj 于 2009-7-30 16:50 编辑

ultraEdit是一款功能强大的文本编辑器,可以编辑文字、Hex、ASCII码,可以取代记事本,内建英文单字检查、C++ 及 VB指令突显,可同时编辑多个文件,而且即使开启很大的文件速度也不会慢。是一个使用广泛的编辑器,但它并不直接支持HDL。
在网上查了资料后,自定义了一个Verilog的环境,现在心得总结如下:
1:下载Verilog的语法高亮文件。
即可支持相应的语言编辑,关键字将用不同色彩标出。
可以到官方网站去下载,包括上百种语法文件,我想应该都能满足大家的需要吧!
http://www.ultraedit.com/index.php?name=Content&pa=showpage&pid=40#wordfiles
2:将下载的文件打开,将里面的内容复制到Wordfile.txt文件中(在ultraEdit的安装目录下),一般加在最后。
ultraEdit默认只有20种语法,将下载的文件的第一行“L20”改成你想要的序号,如L19。
3:加入折叠功能
由于Verilog HDL的块一般是以begin和end作为开始和结束的标记,其作用相当于C语言的
大括号。在wordfile.txt的对应语言中添加进如下代码即可:
/Open Fold Strings = "begin""case"
/Close Fold Strings = "end""endcase"
这里除了begin和end可以进行折叠外,另外一对case和endcase同样可以完成折叠,同样的
方法可以添加你需要的折叠标志。
4:加入自动缩进功能
同样在wordfile.txt中,有控制自动缩进的语法。所谓自动缩进就是指,输入begin回车,下一行自动加一个tab;输入end,下一行自动退回一个tab。用户可以自己添加不同的缩进词实现自动缩进。如:
/Indent Strings = "begin""case""fork" "if" "else"
/Unindent Strings = "end""endcase""join" "else"
5: 还可以加入其它一些自定义功能,如函数调用功能,大家可以自己摸索。
方便大家,做了个压缩包供大家下载。

包中
verilog.txt 是加入自动缩进和折叠功能的verilog-98语法文件。已改为L19。
verilog2001 是加入自动缩进和折叠功能的verilog-2001语法文件。已改为L20
wordfile.txt 是加入verilog和veriog2001的文件,替代原安装目录下的wordfile.txt文件即可。

(说明:在这里我修改的是高级->配置->语法高亮->MysqL5.1->打开,然后在其后面加入了verilog2001里面的内容,并加入了上面的四句话,在这句话/Delimiters = ~!@%^&*()-+=|//{}[]:;"<>,.?#的上面加入的)

总结

以上是内存溢出为你收集整理的给UltraEdit设置Verilog语法高亮全部内容,希望文章能够帮你解决给UltraEdit设置Verilog语法高亮所遇到的程序开发问题。

如果觉得内存溢出网站内容还不错,欢迎将内存溢出网站推荐给程序员好友。

欢迎分享,转载请注明来源:内存溢出

原文地址: http://www.outofmemory.cn/langs/1286732.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-06-09
下一篇 2022-06-09

发表评论

登录后才能评论

评论列表(0条)

保存