ASML声称:EUV设备最快会在2016年推出

ASML声称:EUV设备最快会在2016年推出,第1张

  电子发烧友网讯:光刻设备厂商ASML Holding NV的CEO Eric Meurice 宣称该公司已经投入到下一代元紫外线光刻技术(EUV)设备的研发中,同时保证其生产能力能够达到客户的需求。

  大部分厂家认为EUV光刻是实现微型化电路的必备技术,这项技术已经研发了差不多十年,但目前仍然被其低功率光源困扰。

  Meurice在一个讨论公司第二季度的财报的财务分析的会议上提到,他们会提供更多EUV的信息,以便公司更深入了解其将推出的商用EUV设备NXE:300。这是头11部相关工艺开发的设备,能够处理300mm直径的晶圆。

  但短期内ASML对生产能力进行太多的承诺,Meurice声称到年底的时候,每个小时加工的晶圆或许只有70片,但目前已经有规划,到2014年每小时的盛长亮可以达到70片,而到2016,这个数字会上升到125。当客户需要450mm的加工设备时,可以增加10%的价格,从而由ASML获得相对应的产品,Meurice强调。

  Meurice继续说到ASML总共有11台NXE:3300提供订购,但目前来说这些设备在2013年前都未能够交付给客户。他继续说到首台设备会在十月或十一月在ASML组装完成。

  2013年推出的 NXE:3300系统只能用来发展制作工艺技术,但给ASML在当年带来大概8亿欧元的收益。

  Meurice说道,为EUV所寻找的适合光源已经在鉴定一段时间了,同时供应商已经多次证明50瓦特光源和概念上是105瓦特的光源已经在实验室试验中得到确认。他继续强调,到2014年这些会给平台带来每小时70片晶圆的产能,而两年后这个数字就会上升到125。

  NXE:3300在原地的实验必须确认这点,同时Meurice声称公司到下一个夏天会确认这些发展路线。

  这种发展的观点使我们得到了顾客的认可,从而购买了四台额外的NEE:3300系统,这样的话,总共就有15台设备在准备,到2014年,它们将会是首批能够工作在EUV的半导体设备。

  ASML的高管继续确认额外的四台NXE:3300设备是被一家DRAM厂家预定,同时他们正在和另外的DRAM厂家洽谈,以卖出更多的设备,这些都会在2014年交付。与此同时,他们正与一个逻辑方面的公司洽谈更多的设备提供,这估计会在2015年移交。Meurice声称,他们正在筹划14/12/11nm节点的产品,因为无论在任何地方,14/12/11mm的产品都会被当做同一类型的工艺。

  当被问到NEX:3300的最初产能是多少的时候,Meurice解析道头11部机器并没有行相关的规定。但以后的设备会有一个固定的限制,

  我们能看到的最小差能是每小时30片,如果我们在第一步机器面前碰到问题,例如耗费更多工作去稳固控制机构,这通常会花费两三个月甚至半年的时间,这样的话我们怎么去要求生产能力从30片每小时发展到70。

欢迎分享,转载请注明来源:内存溢出

原文地址: http://www.outofmemory.cn/dianzi/2613384.html

(0)
打赏 微信扫一扫 微信扫一扫 支付宝扫一扫 支付宝扫一扫
上一篇 2022-08-10
下一篇 2022-08-10

发表评论

登录后才能评论

评论列表(0条)

保存